Tag Archives: Verilator

Writing binary data with Verilator

So you are simulating a verilog design with Verilator and you want to output part of your design data to a file in binary format. (Example you’re outputting an image.) Continue reading

Posted in Programming | Tagged , , | Comments Off on Writing binary data with Verilator